Publikationen

Intrinsic Run-Time Row Hammer PUFs: Leveraging the Row Hammer Effect for Run-Time Cryptography and Improved Security

AutorAnagnostopoulos, Nikolaos Athanasios; Arul, Tolga; Fan, Yufan; Hatzfeld, Christian; Schaller, André; Xiong, Wenjie; Jain, Manishkumar; Saleem, Umair; Lotichius, Jan; Gabmeyer, Sebastian; Szefer, Jakub; Katzenbeisser, Stefan
Datum2018
ArtJournal Article
AbstraktPhysical Unclonable Functions (PUFs) based on the retention times of the cells of a Dynamic Random Access Memory (DRAM) can be utilised for the implementation of cost-efficient and lightweight cryptographic protocols. However, as recent work has demonstrated, the times needed in order to generate their responses may prohibit their widespread usage. To address this issue, the Row Hammer PUF has been proposed by Schaller et al., which leverages the row hammer effect in DRAM modules to reduce the retention times of their cells and, therefore, significantly speed up the generation times for the responses of PUFs based on these retention times. In this work, we extend the work of Schaller et al. by presenting a run-time accessible implementation of this PUF and by further reducing the time required for the generation of its responses. Additionally, we also provide a more thorough investigation of the effects of temperature variations on the Row Hammer PUF and briefly discuss potential statistical relationships between the cells used to implement it. As our results prove, the Row Hammer PUF could potentially provide an adequate level of security for Commercial Off-The-Shelf (COTS) devices, if its dependency on temperature is mitigated, and, may therefore, be commercially adopted in the near future.
ISSN2410-387X
InCryptography
PublisherMDPI
Urlhttps://tubiblio.ulb.tu-darmstadt.de/id/eprint/106784